欧美成人永久免费_欧美日本五月天_A级毛片免看在线_国产69无码,亚洲无线观看,精品人妻少妇无码视频,777无码专区,色大片免费网站大全,麻豆国产成人AV网,91视频网络,亚洲色无码自慰

當(dāng)前位置:網(wǎng)站首頁 >> 作文 >> 電梯控制器設(shè)計(jì)電路(5篇)

電梯控制器設(shè)計(jì)電路(5篇)

格式:DOC 上傳日期:2024-07-07 22:55:40
電梯控制器設(shè)計(jì)電路(5篇)
時(shí)間:2024-07-07 22:55:40     小編:zdfb

人的記憶力會隨著歲月的流逝而衰退,寫作可以彌補(bǔ)記憶的不足,,將曾經(jīng)的人生經(jīng)歷和感悟記錄下來,,也便于保存一份美好的回憶。相信許多人會覺得范文很難寫,?下面我給大家整理了一些優(yōu)秀范文,,希望能夠幫助到大家,我們一起來看一看吧,。

電梯控制器設(shè)計(jì)電路篇一

電梯行業(yè)是一個(gè)慢熱型的市場

目前的電梯行業(yè),,是變頻器行業(yè)應(yīng)用中的重要領(lǐng)域,而電梯領(lǐng)域?qū)F置商囟?,也是重要的?zhàn)略部署行業(yè),,特別針對扶梯、直梯開發(fā)了不同的專用驅(qū)動控制器,,“目前來講,,電梯是變頻器應(yīng)用行業(yè)的主流行業(yè),市場比較大的,,而海浦蒙特現(xiàn)在要做的就是要在電梯行業(yè)去放大自身的局部優(yōu)勢,,專注于細(xì)分的市場,扎實(shí)的做下去,?!?/p>

“電梯行業(yè)是一個(gè)慢熱型的市場,不同于塑料行業(yè),、食品機(jī)械等行業(yè),,是一個(gè)長期積累的過程,所以在電梯行業(yè)的突然放量是不可能的,,但是我們在電梯領(lǐng)域已經(jīng)積累了好多年,,我們公司在成立之初就做好了持久戰(zhàn)的準(zhǔn)備,前期目標(biāo)就是要在行業(yè)中穩(wěn)固,,打好堅(jiān)實(shí)的基礎(chǔ)之后在深扎根進(jìn)去,,我相信經(jīng)過前幾年的培養(yǎng)海浦蒙特近年來在行業(yè)的銷售曲線會有快速提升。”

國產(chǎn)變頻器企業(yè)要實(shí)力就要有自己的軟硬件

在變頻器行業(yè),,山寨貨的情況其實(shí)和山寨手機(jī)是一樣的,,在全國幾百家的變頻器企業(yè)中,有多少家真正的擁有自己配套的軟硬件,。對于認(rèn)真做企業(yè)的人而言,,市場是最好的煉金石,是一個(gè)真正提煉的過程,,大浪淘沙,,沒有擁有自身強(qiáng)硬的實(shí)力和競爭力的企業(yè),很難說不會被市場所淘汰,?!拔蚁嘈旁谧冾l器行業(yè),山寨的情況和手機(jī)行業(yè)路徑會是一樣的,,只不過在變頻器領(lǐng)域這個(gè)淘汰的過程會相對長一點(diǎn),。”

在變頻器專用的應(yīng)用領(lǐng)域,,特別是以起重,、電梯等行業(yè)而言,即是一個(gè)需要長期緩慢培養(yǎng)的過程,,又是一個(gè)需要企業(yè)自身慢慢沉淀沉入的行業(yè),,“在這些領(lǐng)域,用戶的需求不再是單一的價(jià)格體現(xiàn),,首先考慮的是產(chǎn)品的可靠性,、安全性和穩(wěn)定性,只有在這些需求得到滿足以后,,產(chǎn)品的功能性,、服務(wù)保證、價(jià)格水平才有體現(xiàn)的空間,,這都是后續(xù)考慮的問題,,這就是用戶需求?!?/p>

首矽致芯科技在嵌入式mcu及dsp方面具有較強(qiáng)的技術(shù)優(yōu)勢,,目前的嵌入式mcu采用8英寸0.18-0.5%26micro;mcmos工藝,程序存儲器采用的是一次可編程rom或flash,,公司在數(shù)?;旌想娐吩O(shè)計(jì)、電磁兼容及產(chǎn)品的可靠性等方面的設(shè)計(jì)技術(shù)處于業(yè)界領(lǐng)先水平,,主控芯片在變頻器和汽車電子周邊產(chǎn)品等多項(xiàng)應(yīng)用領(lǐng)域我們都有著成熟的技術(shù),。

電梯控制器設(shè)計(jì)電路篇二

前言

電梯作為垂直方向的交通工具,,在高層建筑和公共場所已成為不可或缺的設(shè)備。中國是全球最大的電梯市場,,也具有最強(qiáng)的電梯生產(chǎn)能力,,但由于缺乏自主知識產(chǎn)權(quán)和核心技術(shù),自主品牌占市場的份額很少,。因此要加大對電梯技術(shù)的創(chuàng)新和發(fā)展,,提升電梯的性能,就需要引進(jìn)更好的技術(shù),,電梯控制器就是很好的裝置,,大力開發(fā)控制器是很必要的。電梯控制器可以有很多實(shí)現(xiàn)方式,本設(shè)計(jì)用了eda技術(shù)進(jìn)行操作,。eda技術(shù)打破了軟件和硬件間的壁壘,,使計(jì)算機(jī)的軟件技術(shù)與硬件實(shí)現(xiàn),、設(shè)計(jì)效率與產(chǎn)品性能合二為一,,它代表了電子設(shè)計(jì)技術(shù)和應(yīng)用技術(shù)的發(fā)展方向。

vhdl主要用于描述數(shù)字系統(tǒng)的接口,結(jié)構(gòu)和功能,,它的語法簡單易懂,移植性好,。我設(shè)計(jì)的是一個(gè)5層電梯控制器。分為主控制器和分控制器,。主控制器是電梯內(nèi)部的控制器,,每層電梯入口處有一個(gè)分控制器。本設(shè)計(jì)采用vhdl源程序 altera 公司的 quartus ii軟件仿真,。運(yùn)用有限狀態(tài)機(jī)的設(shè)計(jì)方法,,設(shè)計(jì)了兩個(gè)進(jìn)程相互配合,狀態(tài)機(jī)進(jìn)程作為主要進(jìn)程,,信號燈控制進(jìn)程作為輔助進(jìn)程,。在主進(jìn)程中定義了七個(gè)狀態(tài),樓層上升請求upin,,樓層下降請求downin,,提前關(guān)門輸入close,延遲關(guān)門輸入delay,,電梯運(yùn)行的開關(guān)run_stop,,電梯運(yùn)行或停止指示lamp,電梯運(yùn)行或等待時(shí)間指示run_wait,,在電梯時(shí)鐘的觸發(fā)下,,通過當(dāng)前狀態(tài)和信號燈信號來判定下一狀態(tài)。信號燈控制進(jìn)程中,,信號燈信號存儲按鍵請求情況,,它的熄滅是由狀態(tài)機(jī)進(jìn)程中傳出的信號來控制。

本程序設(shè)計(jì)調(diào)用了ieee 庫,ieee 庫是 vhdl設(shè)計(jì)中最為常用的庫,,它包含有ieee標(biāo)準(zhǔn)的程序包和其他一些支持工業(yè)標(biāo)準(zhǔn)的程序包,。本設(shè)計(jì)采用 std-logic-1164、std-logic-unsigned,、std-logic-arith 程序包,。以關(guān)鍵詞 entity引導(dǎo),end entity lift 結(jié)尾的部分是程序的實(shí)體部分,。vhdl的實(shí)體描述了電路器件的外部情況,,本設(shè)計(jì)定義了關(guān)于三層電梯控制器用到的各類時(shí)鐘、異步復(fù)位按鍵,、信號燈指示端口,、電梯的請求端口。它描述了端口模式主要有in,、out,,以及各端口信號的數(shù)據(jù)類型主要有std-logic、std-logic-vector,、integer,。以關(guān)鍵詞 architecture 引導(dǎo),end architecture rtl結(jié)尾的語句部分是結(jié)構(gòu)體部分,,結(jié)構(gòu)體描述電路器件的內(nèi)部邏輯功能,。

目錄

第一章 緒論...........................................3 §1.1課程設(shè)計(jì)題目......................................3 §1.2設(shè)計(jì)目的..........................................3 §1.3課程設(shè)計(jì)要求......................................3 §1.4課程設(shè)計(jì)思想......................................4 第二章 課程設(shè)計(jì)步驟..................................6 §2.1設(shè)計(jì)背景..........................................6 §2.2課程設(shè)計(jì)的意義....................................6 §2.2.1中國電梯的現(xiàn)狀..................................7 §2.2.2 電梯的節(jié)能和環(huán)保................................7 §2.2.3 電梯的智能化....................................7 §2.3電梯控制器的流程圖.................................8 §2.4 電梯控制器的內(nèi)部組成結(jié)構(gòu)圖........................8 §2.5 主要模塊設(shè)計(jì).....................................9 §2.6 vhdl源程序.......................................10 §2.7 仿真結(jié)果與分析....................................20 第三章 總結(jié)心得......................................22 參考文獻(xiàn)...............................................22

第一章 緒論

電梯控制器由硬件和軟件兩大部分組成。硬件包括控制器,、控制器地板,、讀卡天線、電源,、寫卡器,、ic卡片等組成內(nèi)呼控制器,軟件包括下位機(jī)運(yùn)行控制軟件,、上位機(jī)寫卡授權(quán)軟件,。我設(shè)計(jì)的七層電梯控制器主要應(yīng)用 eda電子電路技術(shù)。eda的發(fā)展使得設(shè)計(jì)更具有靈活性,。隨著我國經(jīng)濟(jì)持續(xù)增長,、城鎮(zhèn)化建設(shè)的加速和房地產(chǎn)行業(yè)的進(jìn)一步發(fā)展,對電梯的需求越來越大,。所以電梯控制器就需要大強(qiáng)度的發(fā)展,,來滿足社會的需求。電梯的發(fā)展更加的智能化,,節(jié)約化,。對社會的發(fā)展,,人民生活水平的提高具有很大的意義。所以加大對電梯控制器的發(fā)展,,和技術(shù)上的研究是 很重要的,。隨著 eda技術(shù)發(fā)展和應(yīng)用領(lǐng)域的擴(kuò)大與深入,eda 技術(shù)在電子信息,、通訊,、自動控制及計(jì)算機(jī)應(yīng)用等領(lǐng)域的重要性突出。隨著技術(shù)市場與人才市場對eda的需求不斷提高,,產(chǎn)品的市場需求和技術(shù)市場的要求也必然會反映到教學(xué)領(lǐng)域和科研領(lǐng)域中來,。eda技術(shù)的提高,促進(jìn)了電梯控制器的發(fā)展,。電梯行業(yè)也隨著科技的發(fā)展,,不斷地出現(xiàn)在人們生活的各個(gè)場所,因此,,對電梯控制器的設(shè)計(jì)是一個(gè)很實(shí)用的例子,,對掌握 eda技術(shù)的應(yīng)用也有很大的幫助。

§1.1 課程設(shè)計(jì)題目:電梯控制器的設(shè)計(jì)

§1.2 設(shè)計(jì)目的

進(jìn)一步鞏固理論知識,,培養(yǎng)所學(xué)理論知識的在實(shí)際中的應(yīng)用能力,;掌握 eda 設(shè)計(jì)的一般方法,; 熟悉一種 eda 軟件,,掌握一般eda系統(tǒng)的調(diào)試方法;利用eda軟件設(shè)計(jì)一個(gè)電子技術(shù)綜合問題,,培養(yǎng)vhdl編程,、書寫技術(shù)報(bào)告的能力。為以后進(jìn)行工程實(shí)際問題的研究打下設(shè)計(jì)基礎(chǔ),。

§1.3 課程設(shè)計(jì)要求

設(shè)計(jì)一電梯控制器實(shí)現(xiàn)如下功能:一個(gè)五層電梯控制器,。分為主控制器和分控制器。主控制器是電梯內(nèi)部的控制器,,每層電梯入口處有一個(gè)分控制器,。主控制器的功能如下: 1.在按電梯開關(guān)時(shí)相應(yīng)請求,否則不響應(yīng),; 2.電梯初始位 置是一層,; 3.電梯運(yùn)行時(shí),指示當(dāng)前所在樓層,; 4.當(dāng)電梯到達(dá)所請求的樓層時(shí),,自動開門,然后繼續(xù)運(yùn)行,,如果沒有請求信號,,停留在當(dāng)前樓層,; 6.收到請求后,自動到達(dá)用戶所在樓層,,自動開門,; 7.電梯內(nèi)外設(shè)有各種請求,并按電梯運(yùn)行順序執(zhí)行,,在執(zhí)行后清除請求,; 8.電梯運(yùn)行規(guī)則:當(dāng)電梯處于上升狀態(tài)時(shí),僅響應(yīng)比電梯位置高的用戶的請求,;當(dāng)電梯處于下降狀態(tài)時(shí),,僅響應(yīng)比電梯位置更低的用戶請求;分控制器的功能如下:1.設(shè)有上升請求按鈕和下降請求按鈕,,實(shí)時(shí)檢測用戶按鍵,; 2.指示電梯當(dāng)前坐在樓層 3.當(dāng)電梯到達(dá)本層時(shí),清除請求,。

§1.4 課程設(shè)計(jì)思想

實(shí)驗(yàn)?zāi)M生活中電梯運(yùn)動控制,,電梯總共五層,最簡單的控制思想如下:采集用戶呼叫樓層,,并放入相應(yīng)的記憶單元中,;不考慮電梯轎廂所在樓層。若有用戶呼叫,,電梯上行直到達(dá)到用戶呼叫最大層再下行直到到達(dá)最低層,。由此構(gòu)成一次行程;每完成一次行程檢測是否所用用戶呼叫均已響應(yīng)完畢,。如果沒有,,電梯繼續(xù)運(yùn)行,直到響應(yīng)完所有用戶呼叫,。否則,,電梯停止運(yùn)行;其中用戶請求包括外部請求和內(nèi)部請求,。有外部升降請求信號需點(diǎn)亮相應(yīng)的外部請求指示燈,,內(nèi)部升降請求信號同外部;根據(jù)電梯所在樓層,,七段數(shù)碼管顯示樓層數(shù),;當(dāng)電梯運(yùn)行到有相應(yīng)外部呼叫或內(nèi)部呼叫樓層時(shí),電梯停止運(yùn)行,,滅掉相應(yīng)的呼叫顯示燈,,電梯開關(guān)門后,繼續(xù)運(yùn)行,。電梯控制器設(shè)計(jì)兩個(gè)進(jìn)程相互配合,,狀態(tài)機(jī)進(jìn)程作為主要進(jìn)程,,信號燈控制進(jìn)程作為輔助進(jìn)程。根據(jù)電梯的實(shí)際工作情況,,可以為狀態(tài)機(jī)設(shè)置三個(gè)狀態(tài),,電梯的控制狀態(tài)包括運(yùn)行狀態(tài)、停止?fàn)顟B(tài)及等待狀態(tài),,其中運(yùn)行狀態(tài)又包含向上狀態(tài)和向下狀態(tài),。主要?jiǎng)幼饔虚_、關(guān)門,,??亢蛦印3丝涂赏ㄟ^鍵入開,、關(guān)門按鈕,,呼喚按鈕,指定樓層按鈕等來控制電梯的行與停,。狀態(tài)機(jī)進(jìn)程中的很多判斷條件是以信號燈控制進(jìn)程產(chǎn)生的信號燈信號為依據(jù),,而信號燈控制進(jìn)程中信號燈的熄滅又是由狀態(tài)機(jī)進(jìn)程中傳出的信號來控制。

電梯控制器有如圖1-1所示的系統(tǒng)框圖,。其中clk為基準(zhǔn)輸入時(shí)鐘信號,,在時(shí)鐘上升沿有效;upin為樓層上升請求信號,,高電平有效,;downin為樓層下降請求信號,高電平有效,;樓層選擇鍵st_ch,,高電平有效;提前關(guān)門開關(guān)close和延時(shí)關(guān)門開關(guān)delay, 高電平有效,;電梯運(yùn)行開關(guān)按鈕run_stop,電梯在高電平時(shí)正常運(yùn)行,,低電平時(shí)停止運(yùn)行,;輸出信號包括電梯運(yùn)行/停止輸出信號lamp、電梯運(yùn)行/等待時(shí)間顯示電run_wait,,電梯所在樓層指示數(shù)碼管st_out,,樓層選擇指示數(shù)碼管direct。由于信號run_wait,、st_out和direct的輸出要能夠直接指示電梯控制器的各種運(yùn)行狀態(tài),,因此可以采用共陰極led數(shù)碼顯示管。由電梯控制器系統(tǒng)框圖可得到圖1-2,。

圖 1-1電梯控制器系統(tǒng)框圖

圖1-2 總體組裝圖

第二章 課程設(shè)計(jì)步驟

§2.1 設(shè)計(jì)背景

近年來,,隨著我國房地產(chǎn)業(yè)的持續(xù)高速發(fā)展,,高層建筑越來越多。因此,,一種能使人們快速,、便捷地到達(dá)目的樓層的電梯便應(yīng)運(yùn)而生了。分析近幾年房地產(chǎn)業(yè)的發(fā)展趨勢,,特別是商品住宅的高速發(fā)展,,將使住宅對電梯的需求 量持續(xù)攀升。人們對電梯安全性,、高效性,、舒適性的不斷追求也推動了電梯技術(shù)的進(jìn)步。隨著電梯技術(shù)的發(fā)展,綠色化,、低能耗,、智能化、網(wǎng)絡(luò)化,、藍(lán)牙技術(shù)的電梯成為一段時(shí)間內(nèi)的發(fā)展趨勢,。為保證人們能更安全、更快捷地到 達(dá)目的樓層,,實(shí)現(xiàn)人性化的功能,,對電梯系統(tǒng)中的控制部分進(jìn)行優(yōu)化設(shè)計(jì)是非常必要。因此這部分的設(shè)計(jì)也就成了在電梯設(shè)計(jì)領(lǐng)域里最為核心的技術(shù),。為了實(shí)現(xiàn)電梯的智能化,,可以采用許多方法。它的智能化控制可以有以下幾種形式: 控制,;2.單板機(jī)控制,;3.單片機(jī)控制;4.單微機(jī)控制,;5.多微機(jī)控制,;6.人工智能控制。隨著 eda技術(shù)的快速發(fā)展,,電子設(shè)計(jì)自動化(eda)逐漸成為重要的設(shè)計(jì)手段,已經(jīng)廣泛應(yīng)用于模擬與數(shù)字電路系統(tǒng)等許多領(lǐng)域,。它是一種實(shí)現(xiàn)電子系統(tǒng)或電子產(chǎn)品自動化設(shè)計(jì)的技術(shù),與電子技術(shù),、微電子技術(shù)的發(fā)展密切相關(guān),,并吸收了計(jì)算機(jī)科學(xué)領(lǐng)域的大多數(shù)最新研究成果,以高性能的計(jì)算機(jī)作為工作平臺,,促進(jìn)了工程發(fā)展,。傳統(tǒng)單片機(jī)設(shè)計(jì)的電梯控制外圍電路復(fù) 雜,性能不穩(wěn)定,,而采用 eda 設(shè)計(jì),,卻擁有電子系統(tǒng)小型化、低功耗,、高可靠性,、開發(fā)過程投資小、周期短等優(yōu)點(diǎn),,而且還可以通過軟件編程對硬件結(jié)構(gòu)和工作方式進(jìn)行重構(gòu),,使得硬件設(shè)計(jì)如軟件設(shè)計(jì)那般方便快捷。本次設(shè)計(jì)就是應(yīng)用eda電子電路技術(shù)來設(shè)計(jì)電梯控制器,,從而使用一片芯片就可以實(shí)現(xiàn)對電梯的控制的。

§2.2 課程設(shè)計(jì)的意義

電梯作為垂直方向的交通工具,,在高層建筑和公共場所已經(jīng)成為重要的建筑設(shè)備而不可或缺,。電梯產(chǎn)業(yè)的前景和走勢隨著社會的需求而悄然發(fā)生著改變,,除了考慮安全、舒適,、豪華裝修等要求外,,市場對新一代的綠色電梯、節(jié)能電梯和智能電梯的需求越來越旺盛,。國內(nèi)外電梯企業(yè)順應(yīng)市場需要,,加大研發(fā)投入,都準(zhǔn)備在未來新概念電梯產(chǎn)業(yè)發(fā)展中占得先機(jī),。

§2.2.1 中國電梯的現(xiàn)狀

近年來,隨著中國房地產(chǎn)業(yè)的快速發(fā)展,,與之配套的電梯生產(chǎn)制造業(yè)也經(jīng)歷了迅猛發(fā)展的階段,,電梯產(chǎn)量保持了每年 20%以上的增長速度。我國電梯的出口年均增長率將保持在 35%以上,,電梯行業(yè)逐步成為國內(nèi)比較重要的行業(yè)。隨著我國經(jīng)濟(jì)持續(xù)增長,、城鎮(zhèn)化建設(shè)的加速和房地產(chǎn)行業(yè)的進(jìn)一步發(fā)展,,對電梯的需求越來越大。估計(jì)未來 50年估計(jì)中國新增住房面積將達(dá)到200億平方米,。國家規(guī)定20 米以上高樓就應(yīng)安裝電梯,,因此未來電梯最大的市場就是住宅市場,。此外,機(jī)場,、商場,、地鐵等大型公共設(shè)施建設(shè)對自動扶梯、觀光電梯等電梯的需求量也十分可觀,。電梯作為終端消費(fèi)品,,品牌在市場競爭中的作用非常明顯。品牌往往成為人們在選擇電梯產(chǎn)品時(shí)的重要考慮因素,,電梯生產(chǎn)要想建立良好的品牌并獲得市場的認(rèn)可,,也必須經(jīng)過市場一定時(shí)間的不斷考驗(yàn)。面對外資巨頭的貼身進(jìn)逼,,我國電梯品牌在服務(wù)和營銷上難以匹敵,,其生存狀況不容樂觀。所以大力發(fā)展電梯控制器技術(shù)對我國電梯行業(yè)的發(fā)展至關(guān)重要,。

§2.2.2 電梯的節(jié)能和環(huán)保

“綠色”已成為 21世紀(jì)的主流色調(diào),,一個(gè)全球性的綠色市場為企業(yè)的發(fā)展提供了廣闊的空間,當(dāng)今社會誰先推出綠色產(chǎn)品,,搶占綠色營銷市場,,誰就能掌握競爭的主動權(quán)。老式電梯噪音,、占用空間,、耗能等形成的危害積累起來是相當(dāng)大的,因此,,在城市環(huán)保社區(qū)建設(shè)中,,如何盡量減少電梯對環(huán)境的危害是相當(dāng)重要的課題之一。目前,,我國市場每年銷售各種類型的電梯達(dá) 4.5 萬部,,如果這些電梯全部實(shí)現(xiàn)環(huán)保化,,其環(huán)境效益是難以估量的,。

§2.2.3 電梯的智能化

隨著城市化的高速發(fā)展,越來越多的摩天大樓拔地而起,。就摩天大樓的高度而言,,不僅受建筑技術(shù)上的制約,而且還有電梯升高方面的困惑,,因而,,在摩天大樓日益完備智能化的趨勢中,電梯的智能化也不容忽視。它不僅是人們上上下下的代步工具,,同時(shí),,也是摩天大樓智能化的一個(gè)重要標(biāo)志。盡管電梯在摩天大樓中只是—個(gè)細(xì)節(jié),,但電梯智能化程度的高低卻決定著它服務(wù)質(zhì)量的優(yōu)劣,。因而,7 電梯的智能化在一定程度上反映出智能大廈的智能程度,。計(jì)算機(jī)技術(shù),,通訊技術(shù)與控制技術(shù)的發(fā)展使大廈的智能化成為現(xiàn)實(shí),而電梯是智能建筑中的重要交通工具,,其技術(shù)發(fā)展及智能化程度也倍受世人關(guān)注,。智能化的電梯要與智能大廈中所有自動化系統(tǒng)聯(lián)網(wǎng),如與樓宇控制系統(tǒng),、消防系統(tǒng),、保安監(jiān)控系統(tǒng)等交互聯(lián)系,使電梯成為高效優(yōu)質(zhì),、安全舒適的服務(wù)工具,。

§2.3電梯控制器的流程圖

外部按鍵初始化等待請求信號寄存器是否有請求?狀態(tài)寄存器是目標(biāo)層與本層是否同層,?否判定電梯運(yùn)行方向外部硬件執(zhí)行機(jī)構(gòu)電梯運(yùn)行,。

圖 2-1 總流程圖

§2.4 電梯控制器的內(nèi)部組成結(jié)構(gòu)圖

整個(gè)電梯控制器dtkzq應(yīng)包括如下幾個(gè)組成部分:① 時(shí)序輸出及樓選計(jì)數(shù)器;② 電梯服務(wù)請求處理器,;③ 電梯升降控制器,;④ 上升及下降寄存器;⑤ 電梯次態(tài)生成器,。該電梯控制器設(shè)計(jì)的關(guān)鍵是確定上升及下降寄存器的置位與復(fù) 位,。整個(gè)系統(tǒng)的內(nèi)部組成結(jié)構(gòu)圖如圖 2-2 所示:

圖 2-2電梯控制器的內(nèi)部組成結(jié)構(gòu)圖

§2.5 主要模塊設(shè)計(jì)

圖2-2中所示的各電路模塊可以通過采用多進(jìn)程的方式實(shí)現(xiàn)。

一,、分頻信號和樓選信號產(chǎn)生進(jìn)程

分頻信號和樓選信號產(chǎn)生進(jìn)程將輸入時(shí)鐘信號進(jìn)行二分頻,,同時(shí)對樓選指示變量dir進(jìn)行從0到4的計(jì)數(shù)。

二,、樓層請求寄存器置位與復(fù)位進(jìn)程

該進(jìn)程通過樓層選擇指示變量dir,、電梯所在樓層變量liftor和輸入信號upin、downin,、st_ch來判斷樓層請求寄存器ur,、dr的復(fù)位。

假設(shè)電梯所在樓層為num,,此時(shí)電梯如處于運(yùn)行中,,這時(shí)若樓層指示為t且t>num時(shí)按下了樓層選擇確認(rèn)鍵st_ch,,或者按下上升按鈕upin,,則對應(yīng)的上升請求寄存器ur(t)賦值為1,,否則,若電梯運(yùn)行時(shí)間到,,且沒有任何的請求,,則對應(yīng)的上升請求寄存器ur(t)賦值為0;反之,,如果電梯處于運(yùn)行中,,樓層指示為t且t

三、電梯運(yùn)行次態(tài)控制進(jìn)程

電梯運(yùn)行次態(tài)控制進(jìn)程的設(shè)計(jì)是實(shí)現(xiàn)電梯控制器最為重要的一部分,,合理判斷電梯的運(yùn)行次態(tài)是正確完成設(shè)計(jì)的關(guān)鍵,。該進(jìn)程根據(jù)ur/dr寄存器的狀態(tài)和電梯所在樓層的變量liftor,當(dāng)wai_t的值為110時(shí),給出電梯的下一個(gè)狀態(tài),。信號ladd指示電梯的下一個(gè)狀態(tài),,其值為11時(shí)電梯上升,00時(shí)電梯下降,,01或者10電梯都處于等待狀態(tài),。

當(dāng)run_stop信號為高電平時(shí),電梯處于運(yùn)行狀態(tài),,假設(shè)運(yùn)行時(shí)間到,,wai_t=110,如果此時(shí)上升或下降請求寄存器的每一位都為0,,則電梯處于等待狀態(tài),,電梯下一狀態(tài)指示信號ladd為00或者為01;否則,,若電梯處于第n層,,如果此時(shí)第n+1層的上升或者下降請求寄存器的值為1,則電梯處于運(yùn)行等待狀態(tài),,如果此時(shí)第n+1層以上的上升或者下降寄存器有請求或者n層以下的上升或者下降寄存器有請求,,電梯處于上升狀態(tài),ladd的值為11,,其他情況電梯處于下降運(yùn)行狀態(tài),,ladd的值為10。

四,、電梯運(yùn)行樓層計(jì)數(shù)及提前/延時(shí)關(guān)門控制進(jìn)程

此進(jìn)程完成提前/延時(shí)關(guān)門控制功能,,并對電梯運(yùn)行的樓層數(shù)進(jìn)行計(jì)數(shù)。在分頻信號的上升沿啟動進(jìn)程,,信號closex和delayx分別完成提前關(guān)門和延時(shí)關(guān)門請求,,并根據(jù)下一狀態(tài)指示信號ladd對樓層計(jì)數(shù)器liftor進(jìn)行相應(yīng)的操作,。

§2.6 vhdl源程序

顯示電路的vhdl實(shí)現(xiàn)如下: library ieee;--庫函數(shù)的說明

use ;--程序包的說明 use ;entity led is port(ledin: in std_logic_vector(2 downto 0);--輸入信號 ledout: out std_logic_vector(6 downto 0));--輸出信號; end led;architecture rtl of led is--結(jié)構(gòu)體 begin process(ledin)begin case ledin is--共陰極led顯示譯碼:g f e d c b a when “000”=>ledout<=“0111111”;--0 when “001”=>ledout<=“0000110”;--1 when “010”=>ledout<=“1011011”;--2 when “011”=>ledout<=“1001111”;--3 when “100”=>ledout<=“1100110”;--4 when “101”=>ledout<=“1101101”;--5 when others =>ledout<=“0000000”;--其他情況時(shí)燈滅 end case;end process;end rtl;五層電梯控制器主體的vhdl實(shí)現(xiàn)如下: library ieee;--庫函數(shù)的說明

use ;--程序包的說明 use ;use ;entity lift is port(clk:in std_logic;--時(shí)鐘信號 upin:in std_logic;--上升請求鍵 downin:in std_logic;--下降請求鍵 st_ch:in std_logic;--樓層選擇鍵 close:in std_logic;--提前關(guān)門鍵 delay:in std_logic;--延時(shí)關(guān)門鍵 run_stop:in std_logic;--電梯運(yùn)行開關(guān) lamp:out std_logic;--運(yùn)行或停止燈

run_wait:out std_logic_vector(3 downto 0);--運(yùn)行或等待時(shí)間 st_out:out std_logic_vector(3 downto 0);--電梯所在樓層指示 direct:out std_logic_vector(3 downto 0)--樓層選擇指示);end lift;architecture rtl of lift is signal ur,dr: std_logic_vector(5 downto 1);signal dir,liftor: integer range 0 to 4;signal wai_t: std_logic_vector(2 downto 0);signal spanide,hand,clkin: std_logic;signal ladd: std_logic_vector(1 downto 0);signal closex,delayx: std_logic;begin direct<=conv_std_logic_vector(dir,4)+1;st_out<=conv_std_logic_vector(liftor,4)+1;run_wait<='0'& wai_t;lamp<=ladd(1);hand<=wai_t(2)and(not wai_t(1)and wai_t(0));closex<=close and(not ladd(1));delayx<=delay and(not ladd(1));--分頻進(jìn)程 p0:process(clk)begin if(clk'event and clk='1')then clkin <=not clkin;end if;end process p0;--分頻及樓選信號產(chǎn)生進(jìn)程 p1:process(clkin)12 begin if(clkin'event and clkin='1')then spanide<=not spanide;if(dir=4)then dir<=0;else dir<=dir+1;end if;end if;end process p1;--樓層請求寄存器置位與復(fù)位進(jìn)程

p2:process(ur,dr,dir,upin,downin,st_ch,liftor,wai_t,run_stop,hand)variable num,t:integer range 0 to 5;begin num:=liftor+1;t:=dir+1;if(run_stop='1')then--電梯運(yùn)行時(shí)--選擇樓層大于當(dāng)前樓層或者有上升請求 if(((t>num)and(st_ch='1'))or(upin='1'))then case t is when 1=>ur(1)<='1';when 2=>ur(2)<='1';when 3=>ur(3)<='1';when 4=>ur(4)<='1';when 5=>ur(5)<='1';when others=>null;end case;--電梯運(yùn)行時(shí)間到 elsif(hand='1')then 13 case num is when 1=>ur(1)<='0';when 2=>ur(2)<='0';when 3=>ur(3)<='0';when 4=>ur(4)<='0';when 5=>ur(5)<='0';when others=>null;end case;end if;--選擇樓層小于當(dāng)前樓層或者有下降請求

if(((t

dr(1)<='1';when 2=>dr(2)<='1';when 3=>dr(3)<='1';when 4=>dr(4)<='1';when 5=>dr(5)<='1';when others=>null;end case;--電梯運(yùn)行時(shí)間到 elsif(hand='1')then case num is when 1=>dr(1)<='0';when 2=>dr(2)<='0';when 3=>dr(3)<='0';when 4=>dr(4)<='0';when 5=>dr(5)<='0';when others=>null;end case;14 end if;else ur<=“00000”;dr<=“00000”;end if;end process p2;--電梯運(yùn)行次態(tài)控制進(jìn)程

p3:process(ur,dr,dir,liftor,ladd,wai_t,run_stop)begin if(run_stop='1')then--電梯運(yùn)行時(shí) if(wai_t=“110”)then if((ur or dr)=“00000”)then ladd(1)<='0';else case liftor is--電梯在第一層 when 0=> if((ur(1)or dr(1))>'0')then ladd(1)<='0';else ladd<=“11”;end if;--電梯在第二層 when 1=> if((ur(2)or dr(2))>'0')then ladd(1)<='0';elsif(((ladd(0)='1')and((ur(5 downto 3)15 or dr(5 downto 3))>“000”))or((ur(1)or dr(1))='0'))then ladd<=“11”;else ladd<=“10”;end if;--電梯在第三層 when 2=> if((ur(3)or dr(3))>'0')then ladd(1)<='0';elsif(((ladd(0)='1')and((ur(5 downto 4)or dr(5 downto 4))>“00”))or((ur(2 downto 1)or dr(2 downto 1))=“00”))then ladd<=“11”;else ladd<=“10”;end if;--電梯在第四層 when 3=> if((ur(4)or dr(4))>'0')then ladd(1)<='0';16 elsif(((ladd(0)='1')and((ur(5)or dr(5))>'0'))or((ur(3 downto 1)or dr(3 downto 1))=“000”))then ladd<=“11”;else ladd<=“10”;end if;--電梯在第五層 when 4=> if((ur(5)or dr(5))>'0')then ladd(1)<='0';else ladd<=“10”;end if;when others=>null;end case;end if;end if;else ladd<=“00”;end if;end process p3;--樓層計(jì)數(shù)及關(guān)門時(shí)間控制進(jìn)程

p4:process(spanide,ladd,wai_t,closex,delayx)begin 17 if(spanide'event and spanide='1')then--分頻后的時(shí)鐘上升沿 if(wai_t=“000” or closex='1')then wai_t<=“110”;else if(delayx='0')then wai_t<=wai_t-1;else wai_t<=“010”;end if;--電梯處于運(yùn)行狀態(tài) if(wai_t=“001”)then if(ladd=“11”)then--電梯上升,,樓層加1 liftor<=liftor-1;end if;end if;end if;end if;end process p4;end rtl;頂層模塊設(shè)計(jì):

library ieee;--庫函數(shù)的說明

use ;--程序包的說明 entity top is port(clk:in std_logic;--時(shí)鐘信號 upin:in std_logic;--上升請求鍵 downin:in std_logic;--下降請求鍵 st_ch:in std_logic;--樓層選擇鍵 close:in std_logic;--提前關(guān)門鍵 delay:in std_logic;--延時(shí)關(guān)門鍵

run_stop:in std_logic;--電梯運(yùn)行開關(guān) lamp:out std_logic;--運(yùn)行或停止燈 run_wait: out std_logic_vector(6 downto 0);st_out: out std_logic_vector(6 downto 0);direct: out std_logic_vector(6 downto 0));end top;architecture rtl of top is component led is port(ledin: in std_logic_vector(2 downto 0);ledout: out std_logic_vector(6 downto 0));end component led;component lift is port(clk:in std_logic;--2hz信號 upin:in std_logic;--上升請求鍵 downin:in std_logic;--下降請求鍵 st_ch:in std_logic;--樓層選擇鍵 close:in std_logic;--提前關(guān)門鍵 delay:in std_logic;--延時(shí)關(guān)門鍵 run_stop:in std_logic;--電梯運(yùn)行開關(guān) lamp:out std_logic;--運(yùn)行或停止燈 run_wait: out std_logic_vector(3 downto 0);st_out: out std_logic_vector(3 downto 0);direct: out std_logic_vector(3 downto 0));end component lift;signal s0,s1,s2:std_logic_vector(3 downto 0);begin u1:lift port map(clk,upin,downin,st_ch,close,delay,run_stop,lamp,s0, s1,s2);19 u2:led port map(s0,run_wait);u3:led port map(s1,st_out);u4:led port map(s2,direct);end rtl;

§2.7仿真結(jié)果與分析

由2.6節(jié)里的vhdl語言描述得到的電梯控制器為對象進(jìn)行仿真,,得到的rtl電路如圖2-3所示。

從圖上可以看出,,電梯控制器由一個(gè)控制主體電路模塊和三個(gè)顯示電路模塊組成,,它們分別完成電梯狀態(tài)的控制和顯示功能。

圖2-3 電梯控制器rtl電路圖

對這樣一個(gè)數(shù)字系統(tǒng)進(jìn)行功能仿真得到的仿真波形如圖2-

4,、2-

5,、所示。

圖2-4電梯控制器有上升請求時(shí)的仿真波形圖

圖2-5電梯控制器有下降請求時(shí)的仿真波形圖

圖中,,當(dāng)信號close為高電平時(shí),,電梯進(jìn)入運(yùn)行次態(tài)控制進(jìn)程,通過判斷上升,、下降請求寄存器每一位的值,,決定電梯的運(yùn)行狀態(tài),并通過狀態(tài)指示信號輸出該狀態(tài),。

在波形仿真中,,根據(jù)實(shí)際,我們有必要做一些假設(shè),,即是:1.外部請求上升的乘客,,進(jìn)入電梯后一定是按更高層的停站按鈕;2.外部請求下降的乘客,,進(jìn)入電梯后一定是按更低層的停站按鈕,;3.如果有乘客進(jìn)入電梯,則一定有停站請求,; 4.同一時(shí)刻有很多人按鍵的概率很小,,所以我們認(rèn)為請求信號都有一定的先后順序。

第三章 總結(jié)心得

在這兩周的設(shè)計(jì)過程中,,除找資料外,,大多時(shí)間都是在電腦上進(jìn)行的,通過反復(fù)的編譯,,仿真,,不斷試驗(yàn)來實(shí)現(xiàn)所得結(jié)果。在設(shè)計(jì)上,,運(yùn)用了 eda 自上而下的設(shè)計(jì)思想,,逐步完善所設(shè)計(jì)功能,同時(shí),,用到 vhdl 語言中的狀態(tài)機(jī),,多進(jìn)程,,case、if?.else 等語句,,才達(dá)到以上結(jié)果,。在設(shè)計(jì)中也遇到許多困難,在自己及同學(xué)的共同努力下才完成此設(shè)計(jì),。因此這次課設(shè)給我?guī)淼氖斋@主要有:進(jìn)一步熟悉 quartus ii軟件的使用和操作方法以及硬件實(shí)現(xiàn)時(shí)的下載方法與運(yùn)行方法,,很好的彌補(bǔ)了我們平時(shí)只學(xué)不用的缺陷;對vhdl語言的自頂向下設(shè)計(jì)方法有了進(jìn)一步的認(rèn)識,,對其中的許多語句也有了新的理解和掌握;對自己獨(dú)立思考和解決問題的能力也有了很大的鍛煉,,同時(shí)同學(xué)間的互幫互助精神也是在課程設(shè)計(jì)中很好體現(xiàn)的,。自己做的五層電梯控制器的運(yùn)行情況都能正確的實(shí)現(xiàn),更好的理解了電梯控制器的工作方式,。在做設(shè)計(jì)的同時(shí)也有許多意外的收獲,,比如對電腦也有了進(jìn)一步的認(rèn)識,同時(shí)也意識到了英語的重要性,,在編譯的過程中如果如果出現(xiàn)了錯(cuò)誤,,要是看不懂就很難去改正,所以以后我將會更加努力的學(xué)習(xí)英語,。

參考文獻(xiàn): [1] 潘松.黃繼業(yè).《eda 技術(shù)實(shí)用教程(第3版)》.清華大學(xué)出版社,2009.[2] 劉欲曉.方強(qiáng).黃宛寧《eda技術(shù)與vhdl電路開發(fā)應(yīng)用實(shí)踐 》.電子工業(yè)出版社,2009.[3] 蘇長贊.《電梯設(shè)計(jì)與應(yīng)用》.人民郵電出版社,2008.22

電梯控制器設(shè)計(jì)電路篇三

我要分享電梯控制器由硬件和軟件二大部分組成,。硬件包括控制器、控制器底板,、讀卡天線,、電源、寫卡器,、ic卡片id卡片等組成內(nèi)呼控制器,,軟件包括下位機(jī)運(yùn)行控制軟件、上位機(jī)寫卡授權(quán)軟件,。下面就具體介紹一下電梯控制器系統(tǒng)結(jié)構(gòu)以及電梯控制器系統(tǒng)功能,,讓大家更加了解電梯的功能?!啊?電梯控制器由硬件和軟件二大部分組成,。硬件包括控制器、控制器底板,、讀卡天線,、電源、寫卡器,、ic卡片id卡片等組成內(nèi)呼控制器,,軟件包括下位機(jī)運(yùn)行控制軟件,、上位機(jī)寫卡授權(quán)軟件。下面就具體介紹一下電梯控制器系統(tǒng)結(jié)構(gòu)以及電梯控制器系統(tǒng)功能,,讓大家更加了解電梯的功能,。

電梯控制器的簡介

電梯控制器由硬件和軟件二大部分組成。硬件包括控制器,、控制器底板,、讀卡天線、電源,、寫卡器,、ic卡片id卡片等組成內(nèi)呼控制器,軟件包括下位機(jī)運(yùn)行控制軟件,、上位機(jī)寫卡授權(quán)軟件,。

智能型電梯樓層人員管制系統(tǒng),專供電梯轎箱內(nèi)管制人員出入特定樓層,。管制持卡人員出入特定允許出入之樓層,,以防止隨意出入各樓層而確保安全;具區(qū)段式增加,刪除,查詢卡號及樓層設(shè)定;操作模式:單層卡持有人刷卡直達(dá).無需再按鍵;多層卡用戶刷卡后,須再按卡片內(nèi)記錄的權(quán)限按鍵抵達(dá);可選配密碼鍵盤,實(shí)現(xiàn)忘帶卡時(shí)輸密碼坐電梯;具有時(shí)間區(qū)管制:實(shí)現(xiàn)系統(tǒng)在某段時(shí)間內(nèi)開放,,某段時(shí)間內(nèi)受控,,使電梯按規(guī)定自動運(yùn)行;該系統(tǒng)與電梯本身系統(tǒng)采用無源觸點(diǎn)連接,兩者完全隔離,,不會對電梯原有性能產(chǎn)生任何影響;產(chǎn)品自帶自檢裝置當(dāng)系統(tǒng)發(fā)生故障或者遭破壞時(shí)可送出訊號,,會自動從原系統(tǒng)中脫離,恢復(fù)電梯原狀態(tài),,不影響電梯的使用,;具有消防信號輸入接口,當(dāng)無源的干接點(diǎn)消防開關(guān)信號啟動后,,ic卡電梯系統(tǒng)不工作,,電梯恢復(fù)到原狀態(tài);使用低功率之cmos微電腦、斷電時(shí)人員及儲存資料可保存10年絕不流失;含高級接待卡功能;脫機(jī)或者聯(lián)網(wǎng)狀態(tài)系統(tǒng)會自動記錄每次成功刷卡使用電梯的相關(guān)信息,。

電梯控制系統(tǒng)結(jié)構(gòu)

電梯控制系統(tǒng)硬件由轎廂操縱盤,、廳門信號、plc,、變頻器,、調(diào)速系統(tǒng)構(gòu)成,變頻器只完成調(diào)速功能,,而邏輯控制部分是由plc完成的,。plc負(fù)責(zé)處理各種信號的邏輯關(guān)系,從而向變頻器發(fā)出起停信號,,同時(shí)變頻器也將本身的工作狀態(tài)輸送給plc,,形成雙向聯(lián)絡(luò)關(guān)系,。系統(tǒng)還配置了與電動機(jī)同軸連接旋轉(zhuǎn)編碼器及pg卡,完成速度檢測及反饋,,形成速度閉環(huán)和位置閉環(huán),。此外系統(tǒng)還必須配置制動電阻,當(dāng)電梯減速運(yùn)行時(shí),,電動機(jī)處于再生發(fā)電狀態(tài),,向變頻器回饋電能,抑制直流電壓升高,。

電梯系統(tǒng)是一個(gè)六層六站的系統(tǒng),,井道內(nèi)設(shè)有轎廂、安全窗,、對重,、安全鉗、感應(yīng)器,、平層、樓層隔磁板,、端站打板及各種動作開關(guān),,轎廂底部設(shè)有超載、滿載開關(guān),,井道外每層設(shè)有樓層顯示,、呼梯按鈕及指示、一層設(shè)基站電鎖,,井道頂部有機(jī)房,,內(nèi)設(shè)機(jī)房檢修按鈕、慢上,、慢下開關(guān),、曳引機(jī)、導(dǎo)向輪和限速器,,并道底部設(shè)有底坑,,緩沖器、限速器繩輪,;轎廂內(nèi)設(shè)有廳門,、轎門、門機(jī)機(jī)構(gòu),、門刀機(jī)構(gòu),、門鎖機(jī)構(gòu)、門機(jī)供電電路,、安全觸板,、轎頂急停,、檢修、慢上,、慢下開關(guān)及轎頂照明,、轎頂接線廂,轎門和廳門上方設(shè)有樓層顯示,,轎門右側(cè)設(shè)有內(nèi)選按鈕及指示,、開關(guān)門按鈕、警鈴按鈕,、超載,、滿載指示。本文的重點(diǎn)是先將原由繼電器控制的電梯控制柜進(jìn)行改造,,用plc取代繼電器進(jìn)行電梯控制,,以提高電梯的可靠性和安全性;然后將電梯的信號通過ddc采集送工作站進(jìn)行電梯運(yùn)行情況的監(jiān)視,。

直流電梯具有速度快,、舒適感好、平層準(zhǔn)確度高的特點(diǎn),,這是因?yàn)橹绷魍蟿酉到y(tǒng)調(diào)速性能好,、調(diào)速范圍寬。直流電動機(jī)的調(diào)速方法有改變端電壓ua,、調(diào)節(jié)調(diào)整電阻rtj,、改變勵(lì)磁磁。直流電梯的拖動系統(tǒng)通常有兩種:(1),、用發(fā)電機(jī)組構(gòu)成的可控硅勵(lì)磁的發(fā)電機(jī)一電動機(jī)的拖動系統(tǒng)門禁電梯控制系統(tǒng),。

(2)、可控硅直接供電的可控硅一電動機(jī)拖動系統(tǒng),。

兩者都是利用調(diào)整電動機(jī)端電壓ua的方法進(jìn)行調(diào)速的,,前者是通過調(diào)節(jié)發(fā)電機(jī)的勵(lì)磁改變發(fā)電機(jī)的輸出電壓進(jìn)行調(diào)速的,所以稱為可控硅勵(lì)磁系統(tǒng),。后者是用三相可控硅整流器,,把交流變?yōu)榭煽刂绷鳎┙o直流電動機(jī)的調(diào)速系統(tǒng),,省去了發(fā)電機(jī)組,,因此降低了造價(jià),使結(jié)構(gòu)更加緊湊,,直流電梯因其沒備多,,維護(hù)較為復(fù)雜,造價(jià)高,因此常用于速度要求較高的高層建筑,,它具有舒適感好,、平層準(zhǔn)確高的特點(diǎn)、速度有1.5-1.75m/s的快速梯和2.55m/s的高速梯,。

電梯控制器系統(tǒng)功能

1,、消防功能:

當(dāng)遇到電梯消防時(shí),電梯智能卡控制器會自動脫離電梯,,是電梯恢復(fù)到無電梯智能卡控制狀態(tài),,方便電梯內(nèi)人員及時(shí)脫離危險(xiǎn)。

2,、限時(shí)功能:

物業(yè)人員把每張電梯專用卡寫入有效時(shí)間,,在這段時(shí)間內(nèi),業(yè)主正常刷卡乘梯,,過了截止時(shí)間后,,業(yè)主持電梯專用卡刷卡時(shí)無效,電梯按鍵不響應(yīng),,業(yè)主需到物業(yè)處繳費(fèi),,物業(yè)人員把業(yè)主的電梯專用卡重新寫入相應(yīng)的時(shí)間后,業(yè)主的電梯專用卡才能正常使用,。

3,、扣費(fèi)功能:

物業(yè)人員把每張電梯專用卡寫入有效次數(shù),業(yè)主每刷一次,,卡內(nèi)次數(shù)就相應(yīng)扣除一次,當(dāng)卡內(nèi)次數(shù)不足10次時(shí),,讀卡器會發(fā)出報(bào)警聲音提示用戶充值,,當(dāng)剩余次數(shù)為0時(shí),電梯卡便無法使用,。

4,、免維護(hù)功能:

當(dāng)電梯刷卡控制器出現(xiàn)故障時(shí),電梯維保人員可以直接在是電梯按鈕連接板上撥動一個(gè)免維護(hù)開關(guān),,這樣電梯按鈕會自動進(jìn)入無卡狀態(tài),,業(yè)主無需刷卡,直接按動電梯按鈕乘梯,。對于維保人員來說,,無需再恢復(fù)按鈕線,直接將有故障的電梯刷卡控制器寄回我司進(jìn)行維護(hù),,若在質(zhì)保期內(nèi),,則一旦電梯刷卡控制器出現(xiàn)故障,同時(shí)將立即寄出新的電梯刷卡控制器,,直接免費(fèi)更換新的即可,,當(dāng)電梯維保人員收到新的電梯刷卡控制器后,,只需簡單安裝即可。

5,、刷卡功能:

可根據(jù)需要隨意設(shè)定電梯專用卡的權(quán)限,,乘梯時(shí)需先刷卡后使用,使無卡或無權(quán)限人員無法進(jìn)入并使用電梯,,刷卡后,,按鈕需手動按亮或自動點(diǎn)亮。

總結(jié):關(guān)于電梯控制器系統(tǒng)結(jié)構(gòu)以及電梯控制器系統(tǒng)功能的相關(guān)信息就為大家介紹到這里了,,希望這篇文章對大家有所幫助,。如果大家還有什么不明白的地方可以在下方給小編留言哦,我們會盡快為您解答,。

電梯控制器設(shè)計(jì)電路篇四

設(shè)計(jì)性實(shí)驗(yàn)項(xiàng)目名稱

交通燈控制器設(shè)計(jì)

實(shí)驗(yàn)項(xiàng)目學(xué)時(shí):3學(xué)時(shí)

實(shí)驗(yàn)要求:■ 必修

□ 選修

一,、實(shí)驗(yàn)?zāi)康?/p>

1、學(xué)習(xí)與日常生活相關(guān)且較復(fù)雜數(shù)字系統(tǒng)設(shè)計(jì),;

2,、進(jìn)一步熟悉eda實(shí)驗(yàn)裝置和quartusⅱ軟件的使用方法;

3,、學(xué)習(xí)二進(jìn)制碼到bcd碼的轉(zhuǎn)換,;

4、學(xué)習(xí)有限狀態(tài)機(jī)的設(shè)計(jì)應(yīng)用,。

二,、實(shí)驗(yàn)原理

交通燈的顯示有很多方式,如十字路口,、丁字路口等,,而對于同一個(gè)路口又 有很多不同的顯示要求,比如十字路口,,車輛如果只要東西和南北方向通行就很 簡單,,而如果車子可以左右轉(zhuǎn)彎的通行就比較復(fù)雜,本實(shí)驗(yàn)僅針對最簡單的南北 和東西直行的情況,。

要完成本實(shí)驗(yàn),,首先必須了解交通路燈的燃滅規(guī)律。本實(shí)驗(yàn)需要用到實(shí)驗(yàn)箱上交通燈模塊中的發(fā)光二極管,,即紅,、黃、綠各三個(gè),。依人們的交通常規(guī),,“紅燈停,綠燈行,黃燈提醒”,。其交通燈的燃滅規(guī)律為:初始態(tài)是兩個(gè)路口的紅燈全亮之后,,主干道的綠燈亮,鄉(xiāng)間道路的紅燈亮,,主干道方向通車,,延時(shí)一段時(shí)間后,鄉(xiāng)間公路來車,,主干道綠燈滅,,黃燈開始閃爍。閃爍若干次后,,主干道紅燈亮,,而同時(shí)鄉(xiāng)間公路的綠燈亮,延時(shí)一段時(shí)間后,,鄉(xiāng)間公路的綠燈滅,,黃燈開始閃爍。閃爍若干次后,,再切換到主干道方向,,重復(fù)上述過程。

三,、設(shè)計(jì)要求

完成設(shè)計(jì),、仿真、調(diào)試,、下載,、硬件測試等環(huán)節(jié),在型eda實(shí)驗(yàn)裝置上實(shí)現(xiàn)一個(gè)由一條主干道和一條鄉(xiāng)間公路的匯合點(diǎn)形成的十字交叉路口的交通燈控制器功能,,具體要求如下:

1,、有mr(主紅)、my(主黃),、mg(主綠)、cr(鄉(xiāng)紅),、cy(鄉(xiāng)黃),、cg(鄉(xiāng)綠)六盞交通燈需要控制;

2,、交通燈由綠轉(zhuǎn)紅前有4秒亮黃燈的間隔時(shí)間,,由紅轉(zhuǎn)綠沒有間隔時(shí)間;

3,、鄉(xiāng)間公路右側(cè)各埋有一個(gè)串連傳感器,,當(dāng)有車輛準(zhǔn)備通過鄉(xiāng)間公路時(shí),發(fā)出請求信號s=1,其余時(shí)間s=0,;

4,、平時(shí)系統(tǒng)停留在主干道通行(mgcr)狀態(tài),一旦s信號有效,,經(jīng)主道黃燈4秒(mycr)狀態(tài)后轉(zhuǎn)入鄉(xiāng)間公路通行(mrcg)狀態(tài),,但要保證主干道通行大于一分鐘后才能轉(zhuǎn)換;

5,、一旦s信號消失,,系統(tǒng)脫離mrcg狀態(tài),即經(jīng)鄉(xiāng)道黃燈4秒(mrcy)狀態(tài)進(jìn)入mgcr狀態(tài),,即使s信號一直有效,,mrcg狀態(tài)也不得長于20秒鐘;

6,、控制對象除紅綠燈之外,,還包括分別在主干道和鄉(xiāng)間公路各有一個(gè)兩位十進(jìn)制倒計(jì)時(shí)數(shù)碼管顯示。

四,、主要儀器設(shè)備

1,、微機(jī)

1臺

1套 1套

2、quartusii集成開發(fā)軟件

3,、eda實(shí)驗(yàn)裝置

五,、實(shí)驗(yàn)步驟

源程序:

0module traffic1(clk,s,rst,light,sel,sg);input clk,s,rst;output[5:0] light;

output [2:0]sel;output [7:0]sg;reg [5:0] light;reg clk1;reg [3:0] sc;

reg [7:0] count;reg [7:0] cnt,sg;reg [2:0] sel;reg [3:0]a;parameter s0=0,s1=1,s2=2,s3=3,s4=4;initial

begin

count<=8'b01100000;

light<=6'b001100;

sc=s0;

end

always @(posedge clk)begin

begin cnt=cnt+1;

if(cnt==100)begin clk1=1'b1;cnt=0;end

else clk1=1'b0;//100分頻,clk為數(shù)碼管掃描頻率,,clk1為計(jì)數(shù)頻率

if(sel<7)sel=sel+1;else sel=6;

end //sel為數(shù)碼管選擇

begin

case(sel)

7: a=count[3:0];//0數(shù)碼管為個(gè)位

6: a=count[7:4];//1數(shù)碼管為十位

default: a=0;

endcase

case(a)

0:sg<=8'b00111111;1:sg<=8'b00000110;

2:sg<=8'b01011011;3:sg<=8'b01001111;

4:sg<=8'b01100110;5:sg<=8'b01101101;

6:sg<=8'b01111101;7:sg<=8'b00000111;

8:sg<=8'b01111111;9:sg<=8'b01101111;//8段譯碼值

default: sg=8'b11111111;

endcase

end end

always @(posedge clk1 or negedge rst)

begin

if(!rst)begin count = 0;sc=s3;end // count set nothing but else 0

else if(count == 0)

begin

case(sc)

s0: begin if(s)begin sc=s1;count = 8'b00000100;light=6'b010100;end else begin sc=s4;count = 8'b01100000;end end

s1: begin count = 8'b00100000;sc=s2;light=6'b100001;end

s2: begin count = 8'b00000100;sc=s3;light=6'b100010;end

s3: begin count = 8'b01100000;sc=s0;light=6'b001100;end

s4: begin if(s)begin sc=s1;count = 8'b00000100;light=6'b010100;end else begin sc = s4;count = 8'b01100000;light<=6'b001100;end end

default begin sc=s0;count =8'h60;end

endcase

end

else

if((sc==s2)&(s==0))begin sc=s3;count = 8'b00000100;light=6'b100010;end

else

if((sc==s4)&(s==1))begin sc=s1;count = 8'b00000100;light=6'b010100;end

else if(count[3:0] == 4'b0000)

begin count = count-7;end

else

begin count = count-1;end

end endmodule

管腳鎖定

六,、波形仿真

主干道60s倒計(jì)時(shí)

s信號為1時(shí)

七、實(shí)驗(yàn)心得

通過本次實(shí)驗(yàn),,讓我進(jìn)一步掌握了軟件quartus的使用流程,,一開始實(shí)驗(yàn)時(shí)波形仿真是正確的,,但在電路上實(shí)現(xiàn)時(shí)主干道亮黃燈時(shí),,鄉(xiāng)間道路亮的是綠燈,,這顯然是不對的,,后面發(fā)現(xiàn)是管腳鎖定時(shí)出現(xiàn)了問題,,改回來之后就沒問題了,。管腳鎖定時(shí)不能粗心,,不然很容易出現(xiàn)問題,。

曹軍

生醫(yī)121班

電梯控制器設(shè)計(jì)電路篇五

彩燈控制器設(shè)計(jì) 摘要

一,、系統(tǒng)設(shè)計(jì)要求

設(shè)計(jì)一個(gè)控制電路來實(shí)現(xiàn)8路彩燈按照一定的次序和時(shí)間間隔閃爍,。具體要求如下:

1、當(dāng)控制開關(guān)為0時(shí),,燈全滅,;當(dāng)控制開關(guān)為1時(shí),從第一盞開始,,依次點(diǎn)亮,,時(shí)間間隔為1秒,。期間一直保持只有一盞燈亮,、其他燈全滅的狀態(tài),。

2、8盞燈依次亮完后,,從第8盞開始依次滅,,期間一直保持只有一盞燈滅,、其他燈全亮的狀態(tài),。

3、當(dāng)8盞燈依次滅完后,,8盞燈同時(shí)亮同時(shí)滅,其時(shí)間間隔為0.5秒,并重復(fù)4次,。

4,、只要控制開關(guān)為1,,上述亮燈次序不斷重復(fù)。

5、用層次化設(shè)計(jì)方法設(shè)計(jì)該電路,編寫各個(gè)功能模塊的程序。

6,、仿真各功能模塊,,通過觀察有關(guān)波形確認(rèn)電路設(shè)計(jì)是否正確。

7,、完成電路設(shè)計(jì)后,,用實(shí)驗(yàn)系統(tǒng)下載驗(yàn)證設(shè)計(jì)的正確性。

二,、系統(tǒng)總體結(jié)構(gòu) 系統(tǒng)框圖如下所示,,ena為控制開關(guān),輸入信號為2hz,,經(jīng)過分頻器分頻之后產(chǎn)生一個(gè)1hz的時(shí)鐘信號,,用兩個(gè)12位內(nèi)部信號的左、右移后的中間8位來控制8個(gè)燈的亮與滅,。計(jì)數(shù)器1和計(jì)數(shù)器2的作用:一方面用2hz和1hz的時(shí)鐘信號控制燈亮,、滅的時(shí)間間隔;另一方面控制八盞燈的依次亮,、依次滅和全亮,、全滅。

使能信號ena為無效電平時(shí)(低電平),,8只led燈保持全滅的狀態(tài),;當(dāng)使能信號ena為有效電平時(shí)(高電平),8只按照既定的花型進(jìn)行變換,首先2hz的時(shí)鐘信號在分頻器的左右下改變?yōu)?hz的時(shí)鐘信號,,該1hz的時(shí)鐘信號送至計(jì)數(shù)器

2,、左移和右移模塊,并由計(jì)數(shù)器2實(shí)現(xiàn)左,、右移模塊的選擇,。分別實(shí)現(xiàn)8只led燈的自左向右依次點(diǎn)亮,以及自右向左依次熄滅的花型變換,;當(dāng)完成自右向左的花型變換后,,計(jì)數(shù)器2給出一個(gè)控制信號給計(jì)數(shù)器1,執(zhí)行全亮全滅的花型變換,,該模塊的時(shí)鐘信號是未經(jīng)分頻器分頻的原始時(shí)鐘信號2hz。只要使能信號有效,,那么該系統(tǒng)就按照以上的花型變換順序一直永序的變換下去,。

三、各功能模塊

1,、時(shí)鐘信號二分頻模塊:

功能描述:該模塊的功能主要是將頻率為2hz的時(shí)鐘信號分頻頻率為1hz的時(shí)鐘信號,,并將頻率為1hz的時(shí)鐘信號輸出給左移、右移模塊作為這兩個(gè)模塊時(shí)鐘觸發(fā)信號,。

代碼部分: library ieee;use ;use ;entity clk_span2 is port(clk:in std_logic;output:buffer std_logic);end clk_span2;architecture one of clk_span2 is begin process(clk)begin if clk'event and clk='1' then output<=not output;end if;end process;end one;仿真

2,、計(jì)數(shù)器模塊:

功能描述:該模塊的主要功能是通過計(jì)數(shù),實(shí)現(xiàn)對左移及右移模塊的選通,,并在右移和左移模塊完成后,,跳轉(zhuǎn)到循環(huán)取反模塊執(zhí)行指令。

計(jì)數(shù)器1代碼部分: library ieee;use ;use ;entity count1 is port(clk,ena:in std_logic;output:buffer std_logic_vector(1 downto 0));end count1;architecture one of count1 is signal cq:std_logic_vector(5 downto 0);begin process(clk,ena)begin if clk'event and clk='1' then if ena='1' then if cq<“100111” then cq<=cq+1;if cq=“100000” then output<=“10”;elsif cq=“100001” then output<=“01”;elsif cq=“100010” then output<=“10”;elsif cq=“100011” then output<=“01”;elsif cq=“100100” then output<=“10”;elsif cq=“100101” then output<=“01”;elsif cq=“100110” then output<=“10”;elsif cq=“100111” then output<=“01”;end if;else cq<=“000000”;output<=“00”;end if;else cq<=“111111”;end if;end if;end process;end one;

仿真波形:

計(jì)數(shù)器2代碼: library ieee;use ;use ;entity count2 is port(clk,ena:in std_logic;output:out std_logic_vector(5 downto 0));

end count2;architecture one of count2 is signal cq:std_logic_vector(5 downto 0);begin process(clk,ena)begin if clk'event and clk='1' then if ena='1' then if cq<“010011” then cq<=cq+1;else cq<=“000000”;end if;else cq<=“111111”;end if;end if;end process;output<=cq;end one;

仿真波形:

3,、循環(huán)右移模塊:

功能描述:該功能實(shí)現(xiàn)8只led燈的從左向右依次點(diǎn)亮的功能,,點(diǎn)亮過程中,每時(shí)每刻都保證只有一個(gè)led被點(diǎn)亮,,其它led全滅的狀態(tài),。時(shí)間間隔為1s。

代碼部分: library ieee;use ;use ;entity rightmove is port(clk,ena:in std_logic;count:in std_logic_vector(5 downto 0);output:out std_logic_vector(11 downto 0));end rightmove;architecture one of rightmove is begin process(clk,ena,count)begin if clk'event and clk='1' then if ena='1' then case count is when “000000”=>output<=“001000000000”;when “000001”=>output<=“000100000000”;when “000010”=>output<=“000010000000”;when “000011”=>output<=“000001000000”;when “000100”=>output<=“000000100000”;when “000101”=>output<=“000000010000”;when “000110”=>output<=“000000001000”;when “000111”=>output<=“000000000100”;when others=>output<=“000000000000”;end case;else output<=“000000000000”;end if;end if;end process;end one;

仿真波形:

4,、循環(huán)左移模塊:

功能描述:該功能實(shí)現(xiàn)8只led燈在從左向右依次點(diǎn)亮結(jié)束后,,從右向左依次熄滅一只led,熄滅過程中,,每時(shí)每刻都保證只有一個(gè)led被熄滅,,其它led全亮的狀態(tài)。時(shí)間間隔為1s,。

代碼部分: library ieee;use ;use ;entity leftmove is port(clk,ena:in std_logic;count:in std_logic_vector(5 downto 0);output:out std_logic_vector(11 downto 0));end leftmove;architecture one of leftmove is begin process(clk,ena,count)begin if clk'event and clk='1' then if ena='1' then case count is when “001000”=>output<=“111111111011”;when “001001”=>output<=“111111110111”;when “001010”=>output<=“111111101111”;when “001011”=>output<=“111111011111”;when “001100”=>output<=“111110111111”;when “001101”=>output<=“111101111111”;when “001110”=>output<=“111011111111”;when “001111”=>output<=“110111111111”;when others=>output<=“000000000000”;end case;else output<=“000000000000”;end if;end if;end process;end one;

仿真波形:

5,、循環(huán)取反模塊:

功能描述:該模塊實(shí)現(xiàn)的是對完成逐個(gè)點(diǎn)亮和逐個(gè)熄滅的過程后,實(shí)現(xiàn)每秒2次的全亮、全滅的閃爍,。即相鄰兩個(gè)動作之間的時(shí)間間隔為0.5s,。

代碼部分: library ieee;use ;use ;entity qufan is port(clk,ena:in std_logic;count:in std_logic_vector(1 downto 0);output:buffer std_logic_vector(11 downto 0));end qufan;architecture one of qufan is begin process(clk,ena,count)begin if clk'event and clk='1' then if ena='1' then case count is when “10”=>output<=“111111111111”;when “01”=>output<=not output;when others=>output<=“000000000000”;end case;else output<=“000000000000”;end if;end if;end process;end one;

仿真波形:

6、多選一模塊:

功能描述:此模塊選擇左移,、右移或者是循環(huán)取反中的一個(gè)模塊信號送至8只led燈,。

代碼部分: library ieee;use ;use ;entity qufan is port(clk,ena:in std_logic;count:in std_logic_vector(1 downto 0);output:buffer std_logic_vector(11 downto 0));end qufan;architecture one of qufan is begin process(clk,ena,count)begin if clk'event and clk='1' then if ena='1' then case count is when “10”=>output<=“111111111111”;when “01”=>output<=not output;when others=>output<=“000000000000”;end case;else output<=“000000000000”;end if;end if;end process;end one;

仿真波形:

7、系統(tǒng)組合電路:

以上詳細(xì)地介紹了各個(gè)模塊的功能,、代碼以及仿真波形,。下面將把6個(gè)分模塊系統(tǒng)有序的整合在一起,形成一個(gè)功能完整的系統(tǒng),。

仿真波形:

四,、系統(tǒng)調(diào)試

1、該系統(tǒng)使用開發(fā)板電路模式n0.6,,其電路結(jié)構(gòu)為

2,、使用的可編程芯片型號為flex epf10k10-plcc84。

3,、在將程序下載到實(shí)驗(yàn)箱上實(shí)際檢測的時(shí)候,,引腳的設(shè)置是一項(xiàng)簡單

但極易出現(xiàn)錯(cuò)誤的工作。時(shí)鐘信號由clock0送出,,其時(shí)鐘頻率為2hz,,使能信號ena接的是實(shí)驗(yàn)箱上的3號按鍵。

4,、當(dāng)控制開關(guān)ena為0時(shí),,8只彩燈保持全滅的狀態(tài);當(dāng)控制開關(guān)ena 為1時(shí),,彩燈按照預(yù)先設(shè)定的先自左向右依次點(diǎn)亮,,全部點(diǎn)亮后按照自右向左的順序依次熄滅,依次漸亮和依次熄滅的過程中,,始終保持只有一盞彩燈被點(diǎn)亮或熄滅,,且時(shí)間間隔為1s。當(dāng)彩燈依次熄滅后,,加快變換頻率,,以0.5s的時(shí)間間隔全亮、全亮交替,。

5,、調(diào)試結(jié)果:此系統(tǒng)可以順利的實(shí)現(xiàn)了4的設(shè)定顯示效果。

五,、總結(jié)

本次實(shí)驗(yàn)課題主要包括四個(gè)階段:課題選擇階段,、查閱資料階段,、編程仿真階段、實(shí)驗(yàn)箱檢測調(diào)試階段,。

課題的選定是抽簽決定的,,有不少人說彩燈是最簡單的一個(gè)課題。不過我認(rèn)為沒什么簡單困難之分,,不同的只是你對待它的態(tài)度,。

查閱資料階段則主要圍繞的是選擇何種方案可以更好的實(shí)現(xiàn)要求得功能,并最終確定各個(gè)功能模塊的實(shí)現(xiàn)方案,。

編程仿真階段則主要是把上一階段確定的方案變?yōu)関hdl代碼,,并編譯仿真得到仿真波形。最后一個(gè)階段就是實(shí)驗(yàn)箱檢測和調(diào)試階段,,將已經(jīng)編譯仿真過的程序下載到實(shí)驗(yàn)箱進(jìn)行實(shí)際的檢測和調(diào)試,。最終系統(tǒng)沒出現(xiàn)什么問題,編譯后的代碼下載到實(shí)驗(yàn)箱后,,彩燈順利實(shí)現(xiàn)要求的花型變換,。

最后要感謝老師的悉心指導(dǎo),課程設(shè)計(jì)中,,遇到了不少問題,多虧了老師的悉心指導(dǎo)才能夠順利,、及時(shí)地解決問題,,使得課題能夠順利完成。通過這次的課題設(shè)計(jì),,我深深體會到知識通過應(yīng)用才能體現(xiàn)其價(jià)值,。

全文閱讀已結(jié)束,如果需要下載本文請點(diǎn)擊

下載此文檔
a.付費(fèi)復(fù)制
付費(fèi)獲得該文章復(fù)制權(quán)限
特價(jià):5.99元 10元
微信掃碼支付
已付款請點(diǎn)這里
b.包月復(fù)制
付費(fèi)后30天內(nèi)不限量復(fù)制
特價(jià):9.99元 10元
微信掃碼支付
已付款請點(diǎn)這里 聯(lián)系客服